Main Categories
    Filters
    Preferences
    Search

    PmodSSD Seven-segment display

    The PmodSSD is a two-digit seven-segment display. Users can toggle through GPIO signals which digit is currently on at a rate of 50 Hz or greater to achieve persistence-of-vision to give the effect of both digits being lit up simultaneously.

    MFR Part #: 410-126
    $5.49
    $6.99
    Availability: 1 in stock

     

    Key Features:

    • Two-digit high bright seven-segment display
    • Great way to view a counter or timer
    • Communicates via GPIO signals
    • Common Cathode configuration
       
    Write your own review
    • Product can be reviewed only after purchasing it
    • Only registered users can write reviews
    *
    *
    • Bad
    • Excellent

     

    Key Features:

    • Two-digit high bright seven-segment display
    • Great way to view a counter or timer
    • Communicates via GPIO signals
    • Common Cathode configuration